2015年6月21日日曜日

Papilio Pro 使い始め


最近、秋月電子ではいろいろな FPGAボードも売っている。
先日、Spartan6対応ボードが11000円とリーズナブルだったので、
購入してきた。
ボード名はPapilio Proで、乗っているチップはSpartan6-LX9 TG144。
このボードをパソコンとUSBで接続し、Papilio loaderという専用ソフトで書き込む。
Xilinx ISE14.7の開発環境でLED点滅させるところまでやってみた。

サンプルをコンパイルしたが、以下の追加作業が必要だった。

  1. UCFファイルのPULLUPが効かなかったので、とりあえず消去したこと。
  2. UCFの使ってない位置指定を無視する設定を行ったこと。

Process Properties -Translate Propertyの設定を変更した。

これで一応、開発準備完了。


0 件のコメント:

コメントを投稿